Вычислительные машины презентация

Содержание

Учебно-методические материалы Точи Р.Дж., Уидмер Н.С. Цифровые системы. Теория и практика, 8-е изд.: Пер. с англ. -М.: Издательский дом «Вильямс», 2004. Пухальский Г.И., Новосельцева Т.Я. Цифровые устройства: Учебное пособие для втузов.

Слайд 1Вычислительные машины


Слайд 2Учебно-методические материалы
Точи Р.Дж., Уидмер Н.С. Цифровые системы. Теория и практика, 8-е

изд.: Пер. с англ. -М.: Издательский дом «Вильямс», 2004.
Пухальский Г.И., Новосельцева Т.Я. Цифровые устройства: Учебное пособие для втузов. -СПб.: Политехника, 1996.
Угрюмов Е.П. Цифровая схемотехника. - СПб.: БХВ – Санкт-Петербург, 2000.
Савельев А.Я. Прикладная теория цифровых автоматов: Учебник для вузов по спец. ЭВМ. -М.: Высшая школа, 1987.

Слайд 3Путков В.Н. и др. Электронные вычислительные устройства: Учеб. пособие для радиотехн.

спец. вузов / В.Н.Путков, И.И.Обросов, С.В.Бекетов. -Мн.: Вышэйшая школа, 1981.
Цилькер Б.Я., Орлов С.А. Организация ЭВМ и систем: Учебник для вузов. -СПб.: Питер, 2004.
Закревский А.Д., Поттосин Ю.В., Черемисинова Л.Д. Основы логического проектирования. Кн. 2. Оптимизация в булевом пространстве. -Мн.: ОИПИ НАН Беларуси, 2004.
Закревский А.Д., Поттосин Ю.В., Черемисинова Л.Д. Основы логического проектирования Кн. 3. Проектирование устройств логического управления. -Мн.: ОИПИ НАН Беларуси, 2006.

Слайд 4Позиционные системы счисления.
Позиционная система счисления – это система, в которой

значение символа зависит от его позиции в цепочке символов (цифр), изображающих число.
Основание системы счисления – это количество S различных символов, используемых в пределах одного разряда.
В двоичной системе (S=2) используются символы 0,1.
В восьмеричной – символы 0,1, 2,…, 7.
В десятичной – символы 0,1,2,…,9.
В шестнадцатеричной – символы 0,…,9,A,B,C,D,E,F.
Числа в позиционных системах представляются последовательностью ряда цифр, разделенных на две группы: группа разрядов, задающая целую часть числа, и группа разрядов, задающая дробную часть.


Слайд 5Пусть
- число в системе счисления с основанием S.
Любое число X в

позиционной системе счисления с основанием S может быть представлено в виде:

 

S – основание; i – номер разряда; n+1 (n, n-1, …, 1, 0) – количество целых разрядов; m – количество дробных разрядов; n - старший разряд; -m - младший разряд; ai - разрядные коэффициенты; Si - вес i-го разряда.

Пример. 71,25 = 7×101 +1×100,+2×10−1+5×10−2


Слайд 6В вычислительной технике применяется двоичная система (X =2), в ней для

записи числа используются две цифры: 0 и 1.

Сложение
0+0=0;
0+1=1;
1+0=1;
1+1=10

Вычитание
0-0=0;
1-0=1;
1-1=0;
10-1=1

Умножение
0⋅ 0=0;
0⋅ 1=0;
1⋅ 0=0;
1⋅ 1=1

Деление чисел в двоичной системе производится по правилам умножения и вычитания.


Слайд 7Таблица представления чисел


Слайд 8Пример двоично-десятичного кода
0001 1001 1000 0100(D) = 1984(10)
Перевод из двоичной в

восьмеричную и шестнадцатеричную системы и обратно

1) перевод 1101111001.11012 в восьмеричное
001 101 111 001 . 110 100 = 1571.648;
1 5 7 1 6 4
 
2) перевод 11111111011.1001112 в шестнадцатеричное
0111 1111 1011 . 1001 1100 = 7FB.9C16.
7 F B 9 C


Слайд 9Правило перевода целых чисел.
Пример. Перевести целое десятичное число 43 в двоичную

и шестнадцатеричную системы счисления.

Следовательно, 4310 ==>1010112; 4310 ==> 2В16.


Слайд 10Перевод из недесятичной позиционной системы счисления в десятичную
Пример. Перевести двоичное число

110110,1 в десятичную систему счисления: 110110,12 ==> 1⋅25 + 1⋅24 + 0⋅23 + 1⋅22 + 1⋅21 + 0⋅20 + 1⋅2-1 ==> ==>32+16+4+2+0,5=54,510.

Слайд 11Перевод дробей
Пример. Перевести десятичную дробь 0,375 в двоичную (а) и шестнадца-теричную

(б) системы счисления.

Следовательно, 0,37510 ==>0,0112; 0,375 10 ==>0,616.


Слайд 12Пример: десятичной дроби 0,7689 соответствует двоичная дробь 0,110001 с точностью
0,7689
x

2
1,5378
x 2
1,0756
x 2
0,1512
x 2
0,3024
х 2
0,6048
х 2
1,2096

Слайд 13Перевод неправильной дроби
Пример. Последовательно перевести число X=524,6 (8-ричная система счисления) в

следующие системы счисления: десятичную; двоичную; 16-ричную; десятичную; восьмеричную.

Перевод (8) ? (10)
X=

Перевод (10) ? (2)

340/2=170(ост 0); 170/2=85(0); 85/2=42(1); 42/2=21(0); 21/2=10(1); 10/2=5(0); 5/2=2(1); 2/2=1(0). Целая часть 101010100
0,75x2=1,5; 0,5x2=1,0. Дробная часть 0,11
X=101010100,11


Слайд 14Перевод (2) ? (16)
(0001)(0101)(0100),(1100)
1 5

4 C
X=154,C.
 
Перевод (16) ? (10)

X=

Перевод (10) ? (8)
340/8=42(4); 42/8=5(2);
0,75x8=6,0
X=524,6


Слайд 15Основы алгебры логики
Рассмотрим некоторую функцию y =
от n аргументов, где

аргументы

и функция y

принимает значение 0,1 из множества B={0,1}.

Булевой (логической) функцией называется двоичная функция от двоичных аргументов.
Булева функция задает отображение булева пространства (состоящего из

двоичных векторов) в множество {0,1}:

элементов – n-компонентных


Слайд 16Число всех булевых функций, зависящих от n аргументов, равно
Имеются только

4 булевы функции от одного аргумента:

Имеется 16 булевых функций от двух аргументов:


Функции (двухместные логические операции) дизъюнкции , коньнкции и отрицания (инверсии) образуют булеву алгебру.


Слайд 17Алгебру логики составляют следующие операции: одноместная операция отрицания (инверсирования) и двухместные

операции, заданные в таблице

Слайд 18Обозначения логических элементов


Слайд 19Основные законы и аксиомы (тождества) алгебры логики.
Закон исключенного третьего
Закон отрицания

отрицания (двойного отрицания)

Умножение на константу

Сложение с константой

Идемпотентность



Слайд 20Коммутативность
Ассоциативность
Дистрибутивность
Поглощение
Законы де Моргана


Слайд 21Аналитическое представление булевых функций
Табличное задание


Слайд 22Пример табличного задания функции от трех аргументов.
Три функции, зависящие от двух

аргументов

Слайд 23Пример. Задано логическое выражение
Составить логическую схему для данного выражения и

таблицу истинности.

Слайд 24Если использовать закон де Моргана, то


Слайд 25Элементарной конъюнкцией называется логическое произведение любого конечного числа переменных, входящих в

него с отрицанием или без отрицания не более одного раза.

являются элементарными конъюнкциями, а


Слайд 26Дизъюнктивной нормальной формой (ДНФ) логической функции называется дизъюнкция элементарных конъюнкций. Например


Пример СДНФ и таблицы истинности


Слайд 27Логическая (функциональная) схема, соответствующая функции f1.


Слайд 28Конъюнктивной нормальной формой (КНФ) называется конъюнкция элементарных дизъюнкций. Например
Пример СКНФ


Слайд 29Набор И, ИЛИ, НЕ избыточен, поскольку функцию И можно заменить функциями

ИЛИ и НЕ, а функцию ИЛИ – функциями И и НЕ в соответствии с законами отрицания и Де Моргана

На рисунке показано, как реализовать функцию ИЛИ на элементах И-НЕ


Слайд 30Локальные методы упрощения ДНФ
Поглощение определяется формулой
Склеивание определяется формулой
Удаление литерала

производится по формуле

Операция обобщенного склеивания

Дублирование элементарных конъюнкций

=

=


Слайд 31Импликанты x1x2 и x2x3 являются простыми импликантами функции f, а например,

импликанта x1x2х3 не будет простой.

Слайд 32Алгоритм минимизации Квайна
Операция неполного склеивания
Пример. Получить сокращенную ДНФ


Слайд 33Сокращенная ДНФ
Таблица импликант


Слайд 34Метод Квайна-Мак-Класки.
Позволяет существенно уменьшить число попарных сравнений конъюнкций. Для этого все

элементарные конъюнкции перед сравнением разбиваются на группы, в каждую из которых включаются конъюнкции с одинаковым количеством переменных без отрицания: в i-ю группу (i=0,1,…n) включаются конъюнкции, содержащие i переменных без отрицания.

Например, при n=4 в группу с i=1 включаются конъюнкции вида

 

 

в группу с i=2 конъюнкции вида

 

Попарное сравнение при этом можно проводить только между соседними по номеру группами, поскольку склеивающиеся конъюнкции могут содержаться только в соседних по номеру группах. В остальном минимизация по методу Квайна-Мак-Класки совпадает с минимизацией по методу Квайна.


Слайд 35Пример карт Карно для двух – четырех переменных


Слайд 36Пример. Минимизировать логическую функцию
Приведем к ДНФ
Приведем к СДНФ


Слайд 37Карта Карно


Слайд 38Минимизация не полностью определенных логических функций
Минимизировать логическую функцию
имеющую два запрещенных набора
f=


Слайд 39При использовании запрещенных наборов


Слайд 40Скобочная форма логической функции


Слайд 41Формы представления чисел в ЭВМ и их кодирование
Представление чисел с фиксированной

запятой

В случае целых чисел минимальным по модулю отличным от нуля числом будет Аmin = 00…01, = 1, а максимальным, которому соответствуют единицы во всех n разрядах, – Amах = 11…11, = 2n - 1, т. е. диапазон представления чисел в этом случае 1 ≤ |A| ≤ 2n - 1.


Слайд 42В случае правильных дробей минимальным по модулю отличным от нуля числом

будет Аmin= ,00…01 = 2-n, а максимальным – Аmax = ,11…11 = 1 - 2-n, т. е. диапазон представления чисел в этом случае 2-n ≤ |A| ≤ 1 - 2-n.

Представление чисел с фиксированной запятой


Слайд 43Представление чисел с плавающей запятой
В общем случае нормальная форма записи числа

может быть представлена в виде

где M – мантисса числа; r – основание системы счисления; p – порядок числа. Мантисса |М| < 1, т.е. является правильной дробью, а порядок р – целое число.


Слайд 44Нормализованные числа – числа для которых выполняется соотношение 1/r ≤ |M| 

двоичной системы счисления r = 2 и 0,5 ≤ |M| < 1, т.е. старший разряд мантиссы должен быть равен единице.

Представление чисел с плавающей запятой

В случае представления числа с плавающей запятой со смещенным порядком к его порядку p прибавляется целое число – смещение 2q, где q – число двоичных разрядов, используемых для представления модуля порядка,
pсм = p + 2q.


Слайд 45Наименьшее по модулю число с плавающей запятой с ненулевой нормализованной мантиссой

может быть представлено единицей в старшем разряде с весом 2-1 и наибольшим по абсолютной величине отрицательным порядком:

Представление чисел с плавающей запятой

а наибольшее по модулю число с плавающей запятой


Слайд 46Сравним диапазон представления чисел с фиксированной и плавающей запятой. Пусть, например,

n = 31 (разрядная сетка состоит из 32 разрядов). В этом случае максимальное целое число с фиксированной запятой по абсолютному значению равно
231 - 1=2 147 483 647.

Для числа с плавающей запятой (n = 31, m = 24, q = 6 и два разряда используются для кодирования знаков мантиссы и порядка) максимальное значение числа равно (1 – 2-24) 264 – 1 ≈
1× 263 ≈ 1019.

Из сравнения этих двух значений вытекает, что при одинаковом числе разрядов n форма с плавающей запятой обеспечивает более широкий диапазон представления чисел.

Слайд 47Погрешности представления чисел
Для чисел с фиксированной запятой погрешность представления имеет место

только в случае правильных дробей.

Абсолютная погрешность перевода десятичной дроби в систему с основанием r при использовании усечения (младшие цифры справа отбрасываются) определяется следующим выражением

Для двоичной системы счисления r = 2, и максимальное значение этой погрешности получается при ai = 1

т.е. максимальная абсолютная ошибка равна значению младшего разряда.


Слайд 48При использовании округления максимальная абсолютная ошибка не превышает половины значения младшего

разряда

Погрешности представления чисел

Для представления чисел в форме с фиксированной запятой абсолютное значение машинного изображения правильной дроби находится в диапазоне

2-n ≤ |A|Ф ≤ 1 - 2-n.

Относительная погрешность представления для максимального значения числа равна

В современных ЭВМ, как правило, n = 16…64, поэтому 1>>2-n, откуда


Слайд 49Для минимального значения:
Погрешности представления чисел
откуда видно, что погрешности представления малых чисел

в форме с фиксированной запятой могут быть очень значительными.

Слайд 50Для нахождения погрешностей представления чисел в форме с плавающей запятой величину

этой погрешности надо умножить на величину

Погрешности представления чисел

Максимальная абсолютная ошибка не превышает половины значения младшего разряда мантиссы с учетом порядка, а относительная точность представления чисел в форме с плавающей запятой почти не зависит от величины числа.


Слайд 51Прямой код.
Правило образования прямого кода целого числа записывается в виде
n

– количество разрядов, используемых для представления значения числа.
Знаковый разряд занимает позицию с весом 2n.

Пример. n = 4
A1 = +1011; [A1]пр = 0.1011.
A2 = - 1011; [A2]пр = 1.1011.


Слайд 52Правило образования прямого кода правильной дроби записывается в виде
Знаковый разряд занимает

позицию с весом 20.

Пример. n = 4
A1 = +0,1010; [A1]пр = 0,1010.
A2 = - 0,1010; [A2]пр = 1,1010.

Нуль в прямом коде имеет два представления:

A = +00…00; [A]пр = 0.00…00.
A = - 00…00; [A]пр = 1.00…00.


Слайд 53Дополнительный код. В основе дополнительного кода n-разрядного отрицательного двоичного числа A

лежит дополнение
для целого числа до 2n: A′ = 2n - |A|;
для правильной дроби до 1: A′ = 1 - |A|.
Дополнительный код целого отрицательного n-разрядного числа A представляет собой дополнение до 2n+1 и определяется следующим образом

[A]доп = 2n+1 + A = 2n+1 - |A| = 2n + 2n - |A| = 2n + 2n - 1 - |A| + 1

код знака


дополнение A′


инверсия числа


Слайд 54Дополнительный код.
Пример. Записать дополнительный код числа A = - 101101,

n = 6.
10.000000 2n+1 1.000000 2n 1.000000 2n
- 101101 |A| - 101101 |A| - 1
1.010011 [A]доп 0.010011 A′ 0.111111
+ 1.000000 2n - 101101 |A|
1.010011 [A]доп 0.010010 инверсия |A|
+ 1
0.010011 A′
+ 1.000000 2n
1.010011 [A]доп

Слайд 55Дополнительный код отрицательной n-разрядной правильной дроби A представляет собой дополнение до

2 и определяется следующим образом

[A]доп = 2 + A = 2 - |A| = 1 + 1 - |A| = 1 + 1 – 2-n - |A| + 2-n

Пример. Записать дополнительный код числа A = - 0,101101, n = 6.
10,000000 2 1,000000 1,000000
- 0,101101 |A| - 0,101101 |A| - 0,000001 2-n
1,010011 [A]доп 0,010011 A′ 0,111111
+ 1,000000 - 0,101101 |A|
1,010011 [A]доп 0,010010 инверсия |A|
+ 0,000001 2-n
0,010011 A′
+ 1,000000
1,010011 [A]доп

Нуль в дополнительном коде имеет одно представление: 0.00…00.


Слайд 56Обратный код. В основе обратного кода n-разрядного отрицательного двоичного числа A

лежит дополнение
для целого числа до 2n - 1: A" = 2n - 1 - |A| (инверсия |A|);
для правильной дроби до 1 – 2-n: A" = 1 - 2-n - |A| (инверсия |A|).
Обратный код целого отрицательного n-разрядного числа A представляет собой дополнение до 2n+1 без единицы младшего разряда и определяется следующим образом

[A]обр = 2n+1 - 1 + A = 2n+1 -1 - |A| = 2n + 2n - 1 - |A|

Пример. Записать обратный код числа A = - 101101, n = 6.
10.000000 2n+1 1.000000 2n
- 1 - 1
1.111111 0.111111
- 101101 |A| - 101101 |A|
1.010010 [A]обр 0.010010 A" = инверсия |A|
+ 1.000000 2n
1.010010 [A]обр


Слайд 57Обратный код отрицательной n-разрядной правильной дроби A представляет собой дополнение до

2 без единицы младшего разряда и определяется следующим образом

[A]обр = 2 - 2-n + A = 2 - 2-n - |A| = 1 + 1 - 2-n - |A|

Пример. Записать обратный код числа A = - 0,101101, n = 6.
10,000000 2 1,000000
- 0,000001 2-n - 0,000001 2-n
1,111111 0,111111
- 0,101101 |A| - 0,101101 |A|
1,010010 [A]обр 0,010010 A" = инверсия |A|
+ 1,000000
1,010010 [A]обр

Нуль в обратном коде имеет два представления:
A = +00…00; [A]обр = 0.00…00.
A = - 00…00; [A]обр = 1.11…11.


Слайд 58Двоично-кодированное представление десятичных чисел
Наиболее часто используется такое двоично-кодированное представление десятинного числа

(двоично-десятичный код, будем сокращенно называть его Д-код), в котором каждая десятичная цифра изображается группой из четырех двоичных разрядов (тетрадой):

где aj – j-я десятичная цифра,

– i-й разряд j-ой тетрады,
n – количество десятичных цифр.

Количество различных Д-кодов определяется количеством возможных сочетаний по 10 из 16 комбинаций, которые допускает тетрада.


Слайд 59При образовании Д-кода следует исходить из общих требований, предъявляемых к системам

счисления:
различным десятичным цифрам должны соответствовать различные тетрады;
большая десятичная цифра должна изображаться большей тетрадой, если разряды тетрады имеют веса по двоичной системе счисления;
для двух десятичных цифр и ,
связанных соотношением a + b = 9, должно удовлетворяться условие

(i = 0, 1, 2, 3);

Коды, обладающие таким свойством, называют самодополнительными.

4) для однозначности перевода чисел в Д-код и обратно желательно, чтобы разряды тетрад имели определенный вес. Тогда значение десятичной цифры a, соответствует выражению

где σ3, σ2, σ1, σ0 – веса соответствующих разрядов тетрады.


Слайд 60Кодирование десятичных цифр в различных схемах представления десятичных чисел


Слайд 61Американский стандартный код для обмена информацией ASCII (American Standard Code for

Information Interchange).

Слайд 62Форматы с фиксированной запятой, принятые в микропроцессорах фирмы Intel


Слайд 63Упакованные целые числа
Десятичные числа


Слайд 64В настоящее время для всех ЭВМ рекомендован стандарт, разработанный общепризнанным международным

центром стандартизации IEEE (Institute of Electrical and Electronics Engineers) – IEEE 754.

Стандарт определяет 32-битовый (одинарный) и 64-битовый (двойной) форматы


Слайд 66Двоичная арифметика с фиксированной запятой
Правила выполнения арифметических действий в двоичной системе

счисления:
сложение вычитание умножение
0 + 0 = 0 0 - 0 = 0 0 × 0 = 0
0 + 1 = 1 1 - 0 = 1 0 × 1 = 0
1 + 0 = 1 1 - 1 = 0 1 × 0 = 0
1 + 1 = (1)0 0 - 1 = (1)1 1 × 1 = 1
перенос заем
в старший разряд из старшего разряда

Слайд 67Правила поразрядных действий при сложении двух двоичных чисел А + В представлены в

табл., где ci-1 – перенос из (i - 1)-го разряда, ci – перенос в (i + 1)-й разряд, si – i-й разряд суммы.

Слайд 68Правила поразрядных действий при вычитании двух двоичных чисел А - В представлены в

табл. 7, где zi – заем из i-го разряда, zi+1 – заем из (i + 1)-го разряда, ri – i-й разряд разности.

Слайд 69Пример. Сложить два числа в прямых кодах: A = +1011, B

= +100, n = 4.
Решение. [A]пр = 0.1011; [B]пр = 0.0100
1011 |A|
+ 0100 |B|
1111 |A + B|
[A + B]пр = 0.1111
Ответ: A + B = +1111.

Слайд 70Сумма дополнительных кодов чисел есть дополнительный код суммы чисел.
Доказательство. Предположим, что

числа представлены в форме с фиксированной запятой, стоящей после младшего разряда, т.е. слагаемые являются целыми числами. Рассмотрим возможные случаи.

Слайд 71Сумма обратных кодов чисел есть обратный код суммы чисел.


Слайд 72Пример. Сложить два числа в обратных кодах: A = +1001, B

= -101, n = 4.
Решение. [A]обр = 0.1001; [B]обр = 1.1010
0.1001 [A]обр
+ 1.1010 [B]обр
10.0011

+ 1 циклический перенос
0.0100 [A + B]доп = [A + B]пр
Ответ: A + B = +100.

Пример. Сложить два числа в дополнительных кодах: A = +1001, B = -101, n = 4.
Решение. [A]доп = 0.1001; [B]доп = 1.1011
0.1001 [A]доп
+ 1.1011 [B]доп

10.0100 [A + B]доп = [A + B]пр
Ответ: A + B = +100.

отбрасывается


Слайд 73Пример. Сложить два отрицательных числа в дополнительных кодах:
A =

-1011, B = -1101, n = 4.
Решение. [A]доп = 1.0101; [B]доп = 1.0011
1.0101 [A]доп
+ 1.0011 [B]доп

10.1000 [A + B]доп переполнение.

отбрасывается

Пример. Сложить два дробных отрицательных числа в дополнительных кодах:
A = -0,1011, B = -0,0101, n = 4.
Решение. [A]доп = 1.0101; [B]доп = 1.1011
1.0101 [A]доп
+ 1.1011 [B]доп

11.0000 [A + B]доп переполнение.

отбрасывается


Слайд 74Пример. Записать в модифицированном коде: а) положительное число А = +1011;

б) отрицательное число А = -1011.
Решение:

а)

б)

.


Слайд 75Пример. Сложить два отрицательных числа в модифицированных дополнительных кодах: A =

-1010, B = -1101, n = 4.
Решение. [A]доп = 11.0110; [B]доп = 11.0011
11.0110 [A]доп
+ 11.0011 [B]доп

110.1001 [A + B]доп комбинация 10 указывает на переполнение.

отбрасывается


Слайд 76Умножение, начиная с младших разрядов множителя, при сдвиге множимого влево и

неподвижной сумме частичных произведений.

Алгоритм умножения включает следующие шаги:
обнуление СЧП0;
анализ младшего разряда множителя: если b0 = 1, выполняется сложение ЧП0 = A с СЧП0 и переход к п. 3; если b0 = 0, сразу переход к п. 3;
сдвиг множимого влево;
анализ очередного разряда множителя: если b1 = 1, выполняется сложение ЧП1·21 с СЧП1 и переход к п. 5; если b1 = 0, непосредственно переход к п. 5;
сдвиг множимого влево;
анализ очередного bi разряда множителя и т.д. После анализа старшего bn-1 разряда множителя осуществляется последнее сложение ЧПn-1·2n-1 с суммой частичных произведений СЧПn-1 (если bn-1 = 1), и процесс прекращается. Результирующая СЧПn является искомым произведением.


Слайд 77Умножение, начиная со старших разрядов множителя, при сдвиге суммы частичных произведений

влево и неподвижном множимом.

Применив схему Горнера, выражение для произведения можно записать следующим образом:

Выражения в скобках в формуле представляют собой последовательные значения СЧПi, определяемые рекуррентной формулой

Алгоритм умножения включает следующие шаги:
обнуление СЧП0;
сдвиг СЧП0 влево;
анализ старшего разряда множителя: если bn-1 = l, выполняется сложение ЧПn-1 = A с СЧП0·21 и переход к п. 4; если bn-1 = 0, сразу переход к п. 4;
сдвиг СЧП1 влево;
анализ очередного bn-2 разряда множителя и т.д. После анализа младшего b0 разряда множителя выполняется последнее сложение ЧП0 = A с СЧПn-1 (если b0 = 1), и процесс прекращается.


Слайд 78Умножение, начиная со старших разрядов множителя, со сдвигом множимого вправо и

при неподвижной сумме частичных произведений.

– множимое, сдвинутое влево на n разрядов.

Алгоритм умножения включает следующие шаги:
обнуление СЧП0;
сдвиг множимого вправо;
анализ старшего разряда множителя: если bn-1 = 1, выполняется сложение ЧПn-1·2-1 с СЧП0 и переход к п. 4; если bn-1 =0, сразу переход к п. 4;
сдвиг множимого вправо;
анализ очередного разряда bn-2 множителя и т.д. После анализа младшего разряда b0 множителя осуществляется последнее сложение ЧП0·2-1 с СЧП (если b0 =1), и процесс прекращается.


Слайд 79Умножение, начиная с младших разрядов множителя, со сдвигом суммы частичных произведений

вправо и при неподвижном множимом.

Применив схему Горнера к методу 3, выражение для произведения можно записать следующим образом:

Алгоритм умножения включает следующие шаги:
обнуление СЧП0;
анализ младшего разряда множителя: если b0 = 1, сложение ЧП0 = V с СЧП0 и переход к п. 3; если b0 = 0, непосредственно переход к п. 3;
сдвиг СЧП1 вправо;
анализ очередного разряда b1 множителя и т.д. После анализа старшего разряда bn-1 множителя осуществляются последнее сложение ЧПn-1 с СЧП n-1 (если bn-1 = l) и последний сдвиг СЧПn вправо, после чего процесс прекращается.


Слайд 80Пример. Умножить по второму методу два целых числа без знака:

A = 1111, B = 101, n = 4.
Решение.

Ответ: A×B = 1001011.


Слайд 81Пример. Умножить по четвертому методу два целых числа без знака:

A = 1111, B = 101, n = 4.
Решение. V = A·24.

Ответ: A×B = 1001011.


Слайд 82Множимое произвольного знака, множитель положительный.
Пример. Умножить два целых числа со знаком

A = -13, B = +10, n = 4.
Решение. Умножение будем выполнять по четвертому методу.
[A]доп = 1.0011; [B]пр = [B]доп = 0.1010; V = [A]доп·24.

Ответ: A×B = -130


Слайд 83Множимое произвольного знака, множитель отрицательный.
Пример. Умножить два целых числа со знаком

A = +13, B = -10, n = 4.
Решение. Умножение будем выполнять по четвертому методу.
[A]пр = [A]доп = 0.1101; [B]доп = 1.0110; V = [A]пр·24.

Величина коррекции -A·24 = [-A]доп·24 = 1.0011·24.

Ответ: A×B = -130.


Слайд 84Алгоритм Бута.
В основе алгоритма Бута лежит следующее соотношение, характерное для

последовательностей двоичных цифр:

2m + 2m-1 + … + 2k = 2m+1 – 2k,
где m и k – номера крайних разрядов в группе из последовательных единиц. Например, 011110 = 25 - 21. Это означает, что при наличии в множителе групп из нескольких единиц (комбинаций вида 011110), последовательное добавление к СЧП множимого с нарастающим весом (от 2k до 2m) можно заменить вычитанием из СЧП множимого с весом 2k и прибавлением к СЧП множимого с весом 2m+1.


Слайд 85Реализация алгоритма предполагает последовательный в направлении справа налево анализ пар разрядов

множителя – текущего bi и предшествующего bi-1 (bi bi-1). Для младшего разряда множителя (i = 0) считается, что предшествующий разряд равен 0, то есть имеет место пара b00. На каждом шаге i (i = 0, 1,…, n - 1) анализируется текущая комбинация bi bi-1.
Комбинация 10 означает начало цепочки последовательных единиц, и в этом случае производится вычитание множимого из СЧП.
Комбинация 01 соответствует завершению цепочки единиц, и здесь множимое прибавляется к СЧП.
Комбинация 00 свидетельствует об отсутствии цепочки единиц, а 11 – о нахождении внутри такой цепочки. В обоих случаях никакие арифметические операции не производятся.

Алгоритм Бута.


Слайд 86Пример. Умножить два целых числа без знака по алгоритму Бута:

A = 110, B = 11, n = 4.
Решение. [-A]доп = 11111010. После перекодирования Мт 0011 приобретает вид 010-1.

Ответ: A×B = 10010.


Слайд 87Модифицированный алгоритм Бута.


Слайд 88Пример. Умножить два целых числа со знаком по модифицированному алгоритму Бута:

A = 26, B = -18, n = 5.
Решение. [A]пр = [A]доп = 0.0000011010; [-A]доп = 1.1111100110;
[-2A]доп = 1.1111001100;
[B]пр = 0.10010; [B]доп = 1.01110

Слайд 89Деление чисел с фиксированной запятой
Задача сводится к вычислению частного Q и

остатка S:

, S = Z - QD, S < D, где Z – делимое, D – делитель.

Операция выполняется за n итераций и может быть описана следующим образом:

Частное от деления 2n-разрядного числа на n-разрядное может содержать более чем n разрядов. В этом случае возникает переполнение, из-за чего перед выполнением деления необходима проверка условия


Слайд 90Деление с восстановлением остатка и неподвижным делителем
исходное значение частичного остатка полагается

равным старшим разрядам делимого;
частичный остаток сдвигается на один разряд влево;
из сдвинутого ЧО вычитается делитель и анализируется знак результата вычитания;
очередной разряд частного равен единице, когда результат вычитания положителен, и нулю, если отрицателен. В последнем случае значение остатка восстанавливается до того значения, которое было до вычитания;
пункты 2-4 последовательно выполняются для получения всех разрядов частного.

В общем случае для определения (n-i)-й цифры частного анализируется частичный остаток. Если ЧОi-1 ≥ 0, он сдвигается влево и из него далее вычитается Дт, в результате чего получается остаток ЧОi. Если же ЧОi-1 < 0, то сначала восстанавливается предыдущий положительный (сдвинутый) остаток, для чего к ЧОi-1 прибавляется Дт. Далее восстановленный остаток сдвигается влево, из него вычитается Дт, и в результате получается остаток ЧОi. При ЧОi ≥ 0 (n-i)-я цифра частного равна единице, при ЧОi <. 0 – нулю.


Слайд 91Пример. Разделить с восстановлением остатка Дм A = 41 на Дт

B = 7, n = 4.
Решение. A = 00101001; B = 0111; [-B]доп = 1.1001

Слайд 92Пример. Разделить без восстановления остатка Дм A = 41 на Дт

B = 7, n = 4.
Решение. A = 00101001; B = 0111; [-B]доп = 1.1001

Слайд 93Действия с частичным остатком (прибавление или вычитание делителя)


Слайд 94Временные диаграммы переключения инвертирующего логического элемента
Усредненное значение задержки распространения сигнала
 


Слайд 95Схема логических выходов элементов ТТЛ
Особенность таких выходов состоит в том, что

их нельзя соединять параллельно.

Слайд 96Выход с открытым коллектором


Слайд 98Таблица истинности полного дешифратора 3×8.


Слайд 99Полный дешифратор 3×8


Слайд 100Функционирование дешифратора описывается системой конъюнкций:
При ЕN = 1 дешифратор работает как

обычно, при ЕN = 0 на всех выходах устанавливаются неактивные уровни независимо от поступившего входного кода. Вход ЕN часто выполняют инверсным. Дешифратор, имеющий разрешающий вход, иногда называют декодер-демультиплексор.

Слайд 101Пример двухступенчатого дешифратора 5×32


Слайд 102Приоритетный шифратор для восьмиразрядных слов.
 


Слайд 103 
 
 
можно упростить их и получить выражения


Слайд 104Наращивание размерности приоритетного шифратора до 16


Слайд 105Мультиплексор
Двоичный код, поступающий на адресные входы, определяет (выбирает) один из информационных

входов, значение переменной с которого передается на выход F, т. е. мультиплексор реализует функцию:

 

Работу мультиплексора можно упрощенно представить с помощью многопозиционного ключа.


Слайд 106 Работа мультиплексора описывается соотношением
Мультиплексор «четыре в один».
При отсутствии разрешения работы

(Е=0) выход F становится нулевым независимо от комбинации сигналов на информационных и адресных входах мультиплексора.

Слайд 107Пирамидальная схема, выполняющая функции мультиплексора «32-1» и построенная на мультиплексорах меньшей

размерности «8-1» и «4-1»

Слайд 108Демультиплексор
Функционирование демультиплексора, имеющего n = 4 информационных выходов у0, у1,

у2, у3 и k = 2 адресных входов А0, А1.

 


Слайд 109Схема демультиплексора


Слайд 110Совместное использование мультиплексора и демультиплексора для передачи данных от n источников

к n приемникам по общей линии

Слайд 111Функции, вырабатываемые компараторами, определяются следующим образом: они принимают единичное значение (истинны),

если соблюдается условие, указанное в индексе обозначения функции. Например, функция если

Компараторы

 

 

и принимает нулевое значение при

 

Основными отношениями считаются два – «равно» и «больше». Остальные отношения выражаются через них следующим образом:

Компараторы строятся на основе поразрядных операций над одноименными разрядами обоих слов. Слова равны, если попарно равны все одноименные их разряды. Признак (условие) равенства i-х разрядов сравниваемых слов А и В формируется следующим образом:

Условие неравенства i-x разрядов:


Слайд 112Схемная реализация приведенных условий и схема n-разрядного компаратора на равенство


Слайд 113 
 
Для общего случая n-разрядных слов


Слайд 115Сумматоры
Сумматор – операционный узел, выполняющий арифметическое сложение кодов двух чисел.
Полусумматор имеет

два входа a и b для двух слагаемых и два выхода: S – сумма, P – перенос. Работу его отражает таблица истинности

P = ab

Обозначением полусумматора служат буквы HS (half sum – полусумма).


Слайд 116Полный одноразрядный двоичный сумматор имеет три входа: a, b для двух

слагаемых и p для переноса из предыдущего (более младшего) разряда и два выхода: сумма S, перенос P в следующий (более старший) разряд. Работу его отражает таблица истинности

Уравнения, описывающие работу полного двоичного сумматора, представленные в совершенной дизъюнктивной нормальной форме (СДНФ), имеют вид:

Уравнение для переноса может быть минимизировано:

P = ab + ap + bp


Слайд 117Уравнения можно преобразовать следующим образом
Выражение для S можно записать также в

следующем виде:

S = a ⊕ b ⊕ p


Слайд 118Параллельный сумматор с последовательным переносом


Слайд 119Паралельный перенос в i - том разряде многоразрядного сумматора можно определить

как функцию: разрядов слагаемых, всех младших разрядов и входного переноса. Для организации этого принципа в каждом разряде сумматора должны быть сформированы два дополнительных сигнала ( Di , Fi ):
Di - функция генерации переноса в данном разряде.
Fi - функция распространения переноса через данный разряд
Pi = ai bi + pi (ai + bi) = Di + pi Fi

D/0 - функция генерации выходного переноса из четырехразрядной секции.
F/0 - функция распространения переноса через четырехразрядную секцию.


Слайд 120По приведенным уравнениям можно реализовать схему ускоренного переноса (СУП) для четырех

разрядного сумматора с параллельным переносом.

Слайд 121Наращивание разрядности для предыдущей схемы параллельного сумматора


Слайд 122Простое АЛУ, работающее с
четырехразрядными операндами


Слайд 123Синхронный автомат с памятью
Асинхронный автомат с памятью
а

б

Слайд 124Классификация триггеров


Слайд 125Таблица переходов асинхронного RS-триггера


Слайд 132T-триггеры


Слайд 134D-триггер
Таблица переходов (переключений)
Характеристические уравнения


Слайд 140Регистр сдвига представляет собой ряд последовательно соединенных триггеров, число которых определяется

разрядностью записываемого в него слова. По направлению сдвига записанной в регистр информации различают регистры прямого сдвига (рис. а), т. е. вправо в сторону младшего разряда (вход данных DSR – Data Serial Right); обратного сдвига (рис. б), т. е. влево в сторону старшего разряда (вход данных DSL – Data Serial Left); реверсивные регистры (рис. в), допускающие сдвиг в обоих направлениях

а

б

в


Слайд 141Четырехразрядный суммирующий двоичный счетчик с цепями последовательного переноса


Слайд 142Суммирующий счетчик в режиме прямого счета для триггеров с инверсным динамическим

входом

Слайд 143Асинхронный трехразрядный двоичный вычитающий счетчик, построенный на базе D-триггеров.


Слайд 144Асинхронный двоичный реверсивный счетчик


Слайд 145Схемная реализация суммирующего счетчика с М=10 (декадного счетчика)


Слайд 146Синхронный счетчик с параллельным переносом


Слайд 147Синхронный счетчик с последовательным переносом


Слайд 148Счетчики с групповой структурой
tуст = ta(k - 1) + tгр,
k –

число групп, tгр – время установления кода счетчика в группе, ta – задержка коньюнктора.

Слайд 149Иерархия памяти ЭВМ


Слайд 150Классификация полупроводниковых ЗУ


Слайд 151Один из возможных наборов сигналов ЗУ и временные диаграммы операции чтения



Слайд 152Структура 2D ЗУ


Слайд 153Структура 3D ЗУ


Слайд 154Структура 2DM ЗУ


Слайд 155Упрощенная схема кристалла памяти с шириной шины данных 8 бит


Слайд 156Состояние сигналов CS#, RAS#, CAS#, WE# и соответствующие им команды


Слайд 157 Задержка RAS-to-CAS Delay (tRCD)


Слайд 158Задержка CAS Latency (tCL)


Слайд 159Задержка Active-to-precharge (tRAS)


Слайд 160Задержка RAS Precharge (tRP)


Слайд 161Задержка Command Rate


Слайд 162Упрощенная временная диаграмма работы SDR SDRAM-памяти


Слайд 163Реализация технологии 2n-Prefetch при операции чтения данных
Реализация технологии 2n-Prefetch при операции

записи данных

Слайд 164Упрощенная временная диаграмма работы DDR SDRAM-памяти


Слайд 165Реализация технологии 4n-Prefetch при операции чтения данных


Слайд 166Реализация технологии 4n-Prefetch при операции записи данных


Слайд 167Упрощенная временная диаграмма работы SDRAM-памяти DDR2


Слайд 168Реализация метода 8n-Prefetch
Упрощенная временная диаграмма работы памяти DDR3


Слайд 169Абстрактный цифровой автомат
Математической моделью систем с памятью является абстрактный автомат, определяемый

как кортеж (совокупность объектов) S=(A,Z,W,d,l,a0), у которого:

A={a0,a1, …, am} – множество состояний (алфавит состояний), содержащее не менее двух элементов;
Z={z1,z2, …, zF} – множество входных сигналов (входной алфавит), F>1;
W={w1,w2, …, wG} – множество выходных сигналов (выходной алфавит), G>1;
d - функция переходов, реализующая отображение пары “состояние – входной сигнал” в некоторое состояние автомата, т.е. d(ai,zj)=as;
l -функция выходов;
а0 – начальное состояние автомата.


Слайд 170Таблицы переходов (а) и выходов (б) автомата Мили
Совмещенная таблица автомата Мили


Слайд 171Отмеченная таблица переходов автомата Мура
Граф автомата Мили, описанный ранее табличным способом


Слайд 172Структурные схемы автоматов Мили и Мура


Слайд 173Структурный синтез частичного цифрового автомата
Кодирование входных, выходных сигналов и внутренних

состояний

Слайд 174Структурные таблицы переходов и выходов


Слайд 175В качестве элементов памяти возьмем D-триггер.
Структура цифрового автомата в этом случае.


Слайд 176Таблица истинности и логические функции y1 и у2


Слайд 177Минимизация функций y1 и у2


Слайд 178Логические функции D1 и D2


Слайд 179Гонки в цифровом автомате


Слайд 181Устройство для умножения


Слайд 182Структурная схема устройства умножения:


Слайд 183Алгоритм метода (содержательная ГСА) и ГСА с отметками для автомата Мили



Слайд 184Расширенная таблица переходов-выходов
am – исходное состояние,
as – состояние перехода,
X(am, as)

– логические условия на переходе МПА из состояния am в состояние as,
Y(am, as) – выходные сигналы (микрооперации) на переходе МПА из состояния am в состояние as,
h – номер строки (перехода).

Слайд 185Кодирование состояний
В качестве элементов памяти возьмем JK-триггер
Кодированная расширенная таблица переходов-выходов.


Слайд 186Функции выходов и возбуждений элементов памяти:
 


Слайд 187Структура устройства микропрограммного управления


Слайд 188Способы кодирования микроопераций


Слайд 189Двухуровневая организация управляющей памяти


Слайд 190Принудительная адресация микрокоманд с одним адресом


Слайд 191Принудительная адресация микрокоманд с двумя адресами


Слайд 192В адресной части МК задается только адрес возможного перехода


Слайд 193Структура УМУ с естественной адресацией микрокоманд и
микропрограмма формирования адреса


Обратная связь

Если не удалось найти и скачать презентацию, Вы можете заказать его на нашем сайте. Мы постараемся найти нужный Вам материал и отправим по электронной почте. Не стесняйтесь обращаться к нам, если у вас возникли вопросы или пожелания:

Email: Нажмите что бы посмотреть 

Что такое ThePresentation.ru?

Это сайт презентаций, докладов, проектов, шаблонов в формате PowerPoint. Мы помогаем школьникам, студентам, учителям, преподавателям хранить и обмениваться учебными материалами с другими пользователями.


Для правообладателей

Яндекс.Метрика