Комп’ютерна логіка (частина 1) презентация

Содержание

Lviv, CL. 26.03.2014 Комп'ютерна логіка Lviv, CL. 26.03.2014 Комп'ютерна логіка Варіанти представлення бітів інформації на фізичному рівні

Слайд 1Національний університет «Львівська політехніка»
Lviv Polytechnic National University


17 слайдів
Комп’ютерна логіка (частина 1)


Слайд 2Lviv, CL. 26.03.2014
Комп'ютерна логіка
Lviv, CL. 26.03.2014
Комп'ютерна логіка
Варіанти представлення бітів інформації на

фізичному рівні

Слайд 3Lviv, CL. 26.03.2014
Комп'ютерна логіка
Lviv, CL. 26.03.2014
Комп'ютерна логіка
Структурна схема процесу передачі або

оброблення інформації

Слайд 4Lviv, CL. 26.03.2014
Комп'ютерна логіка
Lviv, CL. 26.03.2014
Комп'ютерна логіка
Функції кодера джерела інформації
Перетворення неелектричних

величин в електричні Перетворення інформації в дані - аналого-цифрове перетворення інформації Усунення надлишковості інформації

Слайд 5Lviv, CL. 26.03.2014
Комп'ютерна логіка
Lviv, CL. 26.03.2014
Комп'ютерна логіка
Кодова таблиця КОИ-7


Слайд 6Lviv, CL. 26.03.2014
Комп'ютерна логіка
Lviv, CL. 26.03.2014
Комп'ютерна логіка
Кодова таблиця KOI8-U


Слайд 7Lviv, CL. 26.03.2014
Комп'ютерна логіка
Lviv, CL. 26.03.2014
Комп'ютерна логіка
Кодові таблиці:
Windows1251
KOI8-U
KOI8-R


Слайд 8Lviv, CL. 26.03.2014
Комп'ютерна логіка
Lviv, CL. 26.03.2014
Комп'ютерна логіка
Послідовний та паралельний спосіб передачі

інформації

Слайд 9Lviv, CL. 26.03.2014
Комп'ютерна логіка
Lviv, CL. 26.03.2014
Комп'ютерна логіка
Кодер захисту інформації
Кодер захисту інформації

необхідний для інформаційної безпеки

Слайд 10Lviv, CL. 26.03.2014
Комп'ютерна логіка
Lviv, CL. 26.03.2014
Комп'ютерна логіка
Перемішування


Слайд 11Lviv, CL. 26.03.2014
Комп'ютерна логіка
Lviv, CL. 26.03.2014
Комп'ютерна логіка
Загальна схема криптографічної системи


Слайд 12Lviv, CL. 26.03.2014
Комп'ютерна логіка
Lviv, CL. 26.03.2014
Комп'ютерна логіка


Слайд 13Lviv, CL. 26.03.2014
Комп'ютерна логіка
Семисегментний індикатор
Lviv, CL. 26.03.2014
Комп'ютерна логіка


Слайд 14Lviv, CL. 26.03.2014
Комп'ютерна логіка
Lviv, CL. 26.03.2014
Комп'ютерна логіка


Слайд 15Lviv, CL. 26.03.2014
Комп'ютерна логіка
Скручування карти Карно по вертикалі
Lviv, CL. 26.03.2014
Комп'ютерна логіка


Слайд 16Lviv, CL. 26.03.2014
Комп'ютерна логіка
Позиційні системи числення


Слайд 17Lviv, CL. 26.03.2014
Комп'ютерна логіка
Системи числення з іраціональними основами


Слайд 18Lviv, CL. 26.03.2014
Комп'ютерна логіка
Система залишкових класів


Слайд 19Lviv, CL. 26.03.2014
Комп'ютерна логіка
Контроль на парність / непарність


Слайд 20Lviv, CL. 26.03.2014
Комп'ютерна логіка
Код Хеммінга

K1 = i3 ⊕ i5 ⊕

i7 ⊕ i9 ⊕ i11 ⊕ i13 ⊕ i15
K2 = i3 ⊕ i6 ⊕ i7 ⊕ i10 ⊕ i11 ⊕ i14 ⊕ i15
K4 = i5 ⊕ i6 ⊕ i7 ⊕ i12 ⊕ i13 ⊕ i14 ⊕ i15
K8 = i9 ⊕ i10 ⊕ i11 ⊕ i12 ⊕ i13 ⊕ i14 ⊕ i15

K ⊕ k = (K8 ⊕ k8)(K4 ⊕ k4)(K2 ⊕ k2)(K1 ⊕ k1)


Слайд 21Lviv, CL. 26.03.2014
Комп'ютерна логіка
Код Хеммінга
k1 = i3 ⊕ i5 ⊕ i7

⊕ i9 ⊕ i11 ⊕ i13 ⊕ i15;
k2 = i3 ⊕ i6 ⊕ i7 ⊕ i10 ⊕ i11 ⊕ i14 ⊕ i15;
k4 = i5 ⊕ i6 ⊕ i7 ⊕ i12 ⊕ i13 ⊕ i14 ⊕ i15;
k8 = i9 ⊕ i10 ⊕ i11 ⊕ i12 ⊕ i13 ⊕ i14 ⊕ i15;

Слайд 22Lviv, CL. 26.03.2014
Комп'ютерна логіка
SerDes


Слайд 23Lviv, CL. 26.03.2014
Комп'ютерна логіка
Сусідній код (код Грея)


Слайд 24Lviv, CL. 26.03.2014
Комп'ютерна логіка
Сусідні коди


Слайд 25Lviv, CL. 26.03.2014
Комп'ютерна логіка
Властивості алгоритму http://uk.wikipedia.org/wiki/Алгоритм
Скінченність
алгоритм має завжди завершуватись після виконання скінченної

кількості кроків. Процедуру, яка має решту характеристик алгоритму, без, можливо, скінченності, називають методом обчислень.
Дискретність
процес, що визначається алгоритмом, можна розчленувати (розділити) на окремі елементарні етапи (кроки), кожен з яких називається кроком алгоритмічного процесу чи алгоритму.[31]
Визначеність
кожен крок алгоритму має бути точно визначений. Дії, які необхідно здійснити, повинні бути чітко та недвозначно визначені для кожного можливого випадку.
Вхідні дані
алгоритм має деяку кількість (можливо, нульову) вхідних даних, тобто, величин, заданих до початку його роботи або значення яких визначають під час роботи алгоритму.
Вихідні дані
алгоритм має одне або декілька вихідних даних, тобто, величин, що мають досить визначений зв'язок із вхідними даними.
Ефективність
Алгоритм вважають ефективним, якщо всі його оператори досить прості для того, аби їх можна було точно виконати за скінченний проміжок часу з допомогою олівця та аркушу паперу.
Масовість
властивість алгоритму, яка полягає в тому, що алгоритм повинен забезпечувати розв'язання будь-якої задачі з класу однотипних задач за будь-якими вхідними даними, що належать до області застосування алгоритму.

Слайд 26Lviv, CL. 26.03.2014
Комп'ютерна логіка
Представлення алгоритмів http://uk.wikipedia.org/wiki/Алгоритм
Блок-схемаБлок-схема алгоритму визначеннядієвідміниБлок-схема алгоритму визначеннядієвідміни в дієслові.
У процесі розробки алгоритму можуть

використовуватись різні способи його опису, які відрізняються за простотою, наочністю, компактністю, мірою формалізації, орієнтації на машинну реалізацію тощо[31].
Форми запису алгоритму:
словесна або вербальна (мовна, формульно-словесна);
псевдокод (формальні алгоритмічні мови);
схемна:
структурограми (схеми Нассі-Шнайдермана);
графічна (блок-схема, виконується за вимогами стандарту).


Слайд 27Lviv, CL. 26.03.2014
Комп'ютерна логіка
Блок-схема алгоритму


Слайд 28Lviv, CL. 26.03.2014
Комп'ютерна логіка
Граф автомата Мура та позначки у вершинах графа

з двійковим кодуванням станів

Слайд 29Lviv, CL. 26.03.2014
Комп'ютерна логіка
Граф автомата


Слайд 30Lviv, CL. 26.03.2014
Комп'ютерна логіка
Блок-схема алгоритму та граф автомата


Слайд 31Lviv, CL. 26.03.2014
Комп'ютерна логіка
Таблиці переходів та виходів автомата Мура з двійковим

кодуванням станів

Слайд 32Lviv, CL. 26.03.2014
Комп'ютерна логіка
Функціональна схема автомата Мура


Слайд 33Lviv, CL. 26.03.2014
Комп'ютерна логіка
Часова діаграма роботи автомата Мура


Слайд 34Lviv, CL. 26.03.2014
Комп'ютерна логіка
Опис автомата формальною мовою
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity

fsm1 is
port (
c: in STD_LOGIC;
x: in STD_LOGIC;
y: out STD_LOGIC);
end fsm1;
architecture fsm1_arch of fsm1 is
attribute enum_encoding: string;
type State_type is ( a0, a1, a2, a3);
attribute enum_encoding of State_type: type is
"00 " & -- a0
"01 " & -- a1
"10 " & -- a2
"11" ; -- a3
signal State: State_type;
attribute state_vector: string;
attribute state_vector of fsm1_arch: architecture is "State";
begin
State_machine: process (c)
begin
if rising_edge(c) then
case State is
when a0 =>
if x='1' then
State <= a0;
elsif x='0' then
State <= a1;
end if;
when a1 =>
State <= a2;
when a2 =>
State <= a3;
when a3 =>
State <= a0;
when others =>
null;
end case;
end if;
end process;
y_assignment:
y <= '1' when (State = a0) else
'1' when (State = a1) else
'0';
end fsm1_arch;

Слайд 35Lviv, CL. 26.03.2014
Комп'ютерна логіка
Теза Черча 
Теза Черча — твердження, згідно з яким, клас алгоритмічно-обчислюваних

функцій — твердження, згідно з яким, клас алгоритмічно-обчислюваних функцій збігається з класом частково-рекурсивних функцій — твердження, згідно з яким, клас алгоритмічно-обчислюваних функцій збігається з класом частково-рекурсивних функцій, функцій обчислюваних за Тюрінгом — твердження, згідно з яким, клас алгоритмічно-обчислюваних функцій збігається з класом частково-рекурсивних функцій, функцій обчислюваних за Тюрінгом та інших формальних уточнень інтуїтивного поняттяалгоритм — твердження, згідно з яким, клас алгоритмічно-обчислюваних функцій збігається з класом частково-рекурсивних функцій, функцій обчислюваних за Тюрінгом та інших формальних уточнень інтуїтивного поняттяалгоритм. З неї випливає, що якщо функція належить до класу певної формалізації алгоритмічно-обчислюваної функції, то вона є алгоритмічно-обчислювана. Теза не доводиться. А еквівалентність класів формалізмів підлягає доведенню, що і було зроблено. Названа на честь американського математика Алонзо Черча.
Також виділяють тезу Черча-Тюрінга.

http://uk.wikipedia.org/wiki/Теза_Черча


Слайд 36Lviv, CL. 26.03.2014
Комп'ютерна логіка
Формальне визначення
Рекурсивні функції
Машина Тюринга
Нормальні алгорифми Маркова
СкінченніСкінченні автомати

http://uk.wikipedia.org/wiki/Алгоритм



Слайд 37Lviv, CL. 26.03.2014
Комп'ютерна логіка
Загальна структурна схема цифрового автомата


Слайд 38Lviv, CL. 26.03.2014
Комп'ютерна логіка
Структурна схема автомата Мура


Слайд 39Lviv, CL. 26.03.2014
Комп'ютерна логіка
Структурна схема автомата Мілі


Слайд 40Lviv, CL. 26.03.2014
Комп'ютерна логіка
Змінні, набори і функції алгебри логіки



Слайд 41Lviv, CL. 26.03.2014
Комп'ютерна логіка
ФАЛ0, ФАЛ1


Слайд 42Lviv, CL. 26.03.2014
Комп'ютерна логіка
Повторювач, інвертор
Інверсія, інвертор, НЕ


Слайд 43Lviv, CL. 26.03.2014
Комп'ютерна логіка
Функції алгебри логіки двох змінних


Слайд 44Lviv, CL. 26.03.2014
Комп'ютерна логіка
Кон'юнкція (від латинського conjunctio – сполучник, зв'язок), логічне

множення або функція І (И, AND)

Кон'юнкція, кон’юнктор, І


Слайд 45Lviv, CL. 26.03.2014
Комп'ютерна логіка
Диз'юнкція (від латинського disjunctio - роз'єднання), логічне додавання

або функція АБО (ИЛИ, OR)

Диз'юнкція, диз’юнктор, АБО


Слайд 46Lviv, CL. 26.03.2014
Комп'ютерна логіка
Функція (штрих) Шеффера або функція І-НЕ (NOT AND,

NAND, И-НЕ)

Слайд 47Lviv, CL. 26.03.2014
Комп'ютерна логіка
Функція (стрілка) Пірса (Вебба) або функція АБО-НЕ (ИЛИ-НЕ,

NOT OR, NOR)

Слайд 48Lviv, CL. 26.03.2014
Комп'ютерна логіка
Виключне АБО (XOR)


Слайд 49Lviv, CL. 26.03.2014
Комп'ютерна логіка
Рівнозначність (еквівалентність)


Слайд 50Lviv, CL. 26.03.2014
Комп'ютерна логіка
Імплікація (пряма)


Слайд 51Lviv, CL. 26.03.2014
Комп'ютерна логіка
Імплікація зворотна


Слайд 52Lviv, CL. 26.03.2014
Комп'ютерна логіка
Заперечення імплікації (прямої)
Заперечення зворотної імплікації


Слайд 53Lviv, CL. 26.03.2014
Комп'ютерна логіка
Властивості ФАЛ, монобазиси, базиси
Базис Буля
Базис Жегалкіна
Базис І, НЕ
Базис

АБО, НЕ

Слайд 54Lviv, CL. 26.03.2014
Комп'ютерна логіка
Деякі ФАЛ3


Слайд 55Lviv, CL. 26.03.2014
Комп'ютерна логіка
Сингулярні таблиці


Слайд 56Lviv, CL. 26.03.2014
Комп'ютерна логіка
Національний університет «Львівська політехніка»
Lviv Polytechnic National University


слайдів
Комп’ютерна

логіка (частина 2)

Слайд 57Lviv, CL. 26.03.2014
Комп'ютерна логіка
Виконання навчального плану
Здана курсова робота
Виконано програму практичних

занять
Написано усі 16 лекційних контрольних робіт
Дано відповідь на усі 10 питань 1-го модульного контролю
Дано відповідь на усі 10 питань 2-го модульного контролю
Є конспект лекцій (приблизно 5 сторінок на лекцію)

Слайд 58Lviv, CL. 26.03.2014
Комп'ютерна логіка
Державна оцінка (іспит)
1. Автоматом за результатами модульних контролів
2.

Оцінка на іспиті
3а. Оцінка на комісії
або
3б. Оцінка за результатами повторного вивчення курсу

Слайд 59Lviv, CL. 26.03.2014
Комп'ютерна логіка
Стандартні вимоги до відповідей на модулях та іспитах
Повинна

бути дана відповідь на усі питання білету
Під час підготовки до відповіді нічим не можна користуватися
Під час підготовки до відповіді ні с ким не можна перемовлятися та обмінюватися інформацією
Для допуску до іспиту потрібно виконати навчальний план

Слайд 60Lviv, CL. 26.03.2014
Комп'ютерна логіка
Полегшені умови до 1-го модуля
Білет на 1-ий модуль

видається достроково за умови
До 7-го навчального тижня здано задачі 3-ої частини курсової роботи і отримано за них більше 30 балів
За практичні заняття отримано більше 10 балів (з 15)
Написано усі лекційні контрольні роботи на дану дату
Правильно дано відповіді на усі питання тестів до 1-ої частини Комп’ютерної логіки (1-ий курс) у ВНС
Є конспект лекцій (приблизно 5 сторінок на лекцію)
Здано академрізницю (в кого вона є)
Складено іспит за повторне вивчення 1-ої частини Комп’ютерної логіки (кому це потрібно)
Під час підготовки до відповіді дозволяється користуватися чим завгодно
Повинна бути дана відповідь на усі питання білету

Слайд 61Lviv, CL. 26.03.2014
Комп'ютерна логіка
Полегшені умови до 2-го модуля, іспту, комісії та

повторки

Білет на 2-ий модуль видається достроково за умови
До 15-го навчального тижня здано усі задачі курсової роботи і отримано за них більше 60 балів
В сумі за практичні заняття отримано більше 20 балів (з 30)
Написано усі лекційні контрольні роботи на дану дату
Правильно дано відповіді на усі питання тестів до 2-ої частини Комп’ютерної логіки (2-ий курс) у ВНС
Є конспект лекцій (приблизно 5 сторінок на лекцію)
Здано академрізницю (в кого вона є)
Складено іспит за повторне вивчення 1-ої частини Комп’ютерної логіки (кому це потрібно)
Під час підготовки до відповіді дозволяється користуватися чим завгодно
Повинна бути дана відповідь на усі питання білету


Слайд 62Lviv, CL. 26.03.2014
Комп'ютерна логіка
Оцінювання відповідей при стандартному підході


Для модулів:
Для іспиту:


Слайд 63Lviv, CL. 26.03.2014
Комп'ютерна логіка
Оцінювання відповідей при полегшеному підході


Для модулів:
Для іспиту:


Слайд 64Lviv, CL. 26.03.2014
Комп'ютерна логіка
Основні правила виконання операцій у базисі Буля


Слайд 65Lviv, CL. 26.03.2014
Комп'ютерна логіка
Аналітичне представлення функцій алгебри логіки Досконалі нормальні форми
ДДНФ:
f(a, b,

c) = F0(0, 0, 0) ∨ F3(0, 1, 1) ∨ F4(1, 0, 0) =a⋅b⋅c ∨ a⋅b⋅c ∨ a⋅b⋅c.
ДКНФ:
f(a, b, c) = Ф1(0,0,1)& Ф2(0, 1, 0) & Ф5(1, 0, 1) & Ф6(1, 1, 0) & Ф7(1, 1, 1) =
= (a ∨ b ∨c)&(a ∨b ∨ c)&(a ∨ b ∨c)&(a ∨b ∨ c) &(a ∨b ∨c).

Слайд 66Lviv, CL. 26.03.2014
Комп'ютерна логіка
Базис Буля


Слайд 67Lviv, CL. 26.03.2014
Комп'ютерна логіка
Кон'юнкція (від латинського conjunctio – сполучник, зв'язок), логічне

множення або функція І (И, AND)

Кон'юнкція, кон’юнктор, І


Слайд 68Lviv, CL. 26.03.2014
Комп'ютерна логіка
Диз'юнкція (від латинського disjunctio - роз'єднання), логічне додавання

або функція АБО (ИЛИ, OR)

Диз'юнкція, диз’юнктор, АБО


Слайд 69Lviv, CL. 26.03.2014
Комп'ютерна логіка
Повторювач, інвертор
Інверсія, інвертор, НЕ


Слайд 70Lviv, CL. 26.03.2014
Комп'ютерна логіка
Синтез логічних схем з одним виходом у базисі

Буля на елементах з довільною кількістю входів




Слайд 71Lviv, CL. 26.03.2014
Комп'ютерна логіка
Двовходові елементи базису Буля




Слайд 72Lviv, CL. 26.03.2014
Комп'ютерна логіка
Основні правила виконання операцій у монобазисах І-НЕ (Шеффера)

та АБО-НЕ (Пірса)

Слайд 73Lviv, CL. 26.03.2014
Комп'ютерна логіка
Монобазис І-НЕ (NAND)






Слайд 74Lviv, CL. 26.03.2014
Комп'ютерна логіка
Синтез логічних схем з одним виходом у монобазисі

І‑НЕ

f=abc v deh v іjk



Слайд 75Lviv, CL. 26.03.2014
Комп'ютерна логіка
2І-НЕ







Слайд 76Lviv, CL. 26.03.2014
Комп'ютерна логіка
Синтез логічних схем з одним виходом у монобазисі

2І-НЕ (Шеффера)


f=abc v deh v іjk


Слайд 77Lviv, CL. 26.03.2014
Комп'ютерна логіка
Монобазис АБО‑НЕ (NOR)





Слайд 78Lviv, CL. 26.03.2014
Комп'ютерна логіка
Синтез логічних схем з одним виходом у монобазисі

АБО‑НЕ


f=(avbvc)&(dvevh)&(іvjvk)


Слайд 79Lviv, CL. 26.03.2014
Комп'ютерна логіка
2АБО-НЕ










Слайд 80Lviv, CL. 26.03.2014
Комп'ютерна логіка
Синтез логічних схем з одним виходом у монобазисі

2АБО-НЕ (Пірса)




Слайд 81Lviv, CL. 26.03.2014
Комп'ютерна логіка
Схеми елементів монобазисів на КМОН-транзисторах


Слайд 82Lviv, CL. 26.03.2014
Комп'ютерна логіка
Основні правила виконання операцій у базисі Жегалкіна
a

⊕ b = ab vab = (a v b)(a vb).
Для цієї функції справедливі наступні аксіоми:
a ⊕ a = 0; a ⊕ a ⊕ a = a;
a ⊕a = 1; a ⊕ 1 =a; a ⊕ 0 = a.
На підставі розглянутих аксіом і властивостей елементарних логічних функцій можна, наприклад, вивести правила представлення функцій І, АБО, НЕ через функцію додавання за модулем 2 і навпаки:
a v b = a ⊕ b ⊕ ab;
ab = (a ⊕ b) ⊕ (a v b).

Слайд 83Lviv, CL. 26.03.2014
Комп'ютерна логіка
Виключне АБО (XOR)


Слайд 84Lviv, CL. 26.03.2014
Комп'ютерна логіка
Реалізація XOR




Слайд 85Lviv, CL. 26.03.2014
Комп'ютерна логіка
Порівняння варіантів синтезу комбінаційних логічних схем

.





Слайд 86Lviv, CL. 26.03.2014
Комп'ютерна логіка
ДНФ




Слайд 87Lviv, CL. 26.03.2014
Комп'ютерна логіка
КНФ



Слайд 88Lviv, CL. 26.03.2014
Комп'ютерна логіка
Поліном Жегалкіна



Слайд 89Lviv, CL. 26.03.2014
Комп'ютерна логіка
Форми представлення ФАЛ
Табличні
Таблиці істинності
Сингулярні таблиці
Геометричні
Числові
Часові діаграми
Схеми
Аналітичні (формули)
інші


Слайд 90Lviv, CL. 26.03.2014
Комп'ютерна логіка
Аналітичні форми представлення ФАЛ
Нормальні
Досконалі
ДДНФ
ДКНФ
інші
Скорочені (ДНФ, КНФ)
Мінімальні
Глухого кута
Абсолютно мінімальні
Анормальні


Дужкові
Із запереченням більше ніж над однією змінною


Слайд 91Lviv, CL. 26.03.2014
Комп'ютерна логіка
Терм
Терм - це група літерал і констант, об'єднаних

тим самим знаком логічного зв'язування: логічного додавання або ж логічного множення. У термі кожен літерал і кожна константа зустрічається тільки один раз, тобто в терм може входити або змінна, або її заперечення.
Диз'юнктивний терм (макстерм, елементарна диз’юнкція) - це логічна функція, що зв'язує всі літерали знаком диз'юнкції.
Наприклад:
f1 = a ∨b ∨ c ∨ d; f2 = a ∨ b.
Макстерм називають також конституентою нуля, тому що ця логічна функція дорівнює 0 тільки тоді, коли всі її літерали рівні 0 одночасно.
Кон'юнктивний терм (мінтерм, елементарна кон’юнкція) - це логічна функція, що зв'язує літерали знаком кон'юнкції.
Наприклад:
f1 =a & b &c & d; f2 = a ∧ b ∧ c.
Мінтерм називають також конституентою одиниці, тому що ця функція дорівнює 1 тільки тоді, коли всі її літерали одночасно дорівнюють одиниці.

Слайд 92Lviv, CL. 26.03.2014
Комп'ютерна логіка
Нормальні форми з мінтермами
Будь-яка таблично задана ФАЛ може

бути представлена аналітично у вигляді
диз'юнкції скінченого числа мінтермів, на кожнім з яких функція дорівнює одиниці (диз’юнктивна нормальна форма, ДНФ):
f(a, b,..., z) = F1 ∨ F2 ∨ ... ∨F n,
суми за модулем 2 скінченого числа мінтермів, на кожнім з яких функція дорівнює одиниці (поліном Жегалкіна):

де i - номери наборів, на яких функція дорівнює 1.

Слайд 93Lviv, CL. 26.03.2014
Комп'ютерна логіка
Нормальні форми з макстермами
Будь-яка таблично задана ФАЛ може

бути представлена аналітично у вигляді
кон'юнкції скінченого числа макстермів, на кожнім з яких функція дорівнює нулю (кон’юнктивна нормальна форма, КНФ):
f(a, b,..., z) = Ф1 & Ф2 & ... & Фm,
результату порівняння скінченого числа макстермів, на кожнім з яких функція дорівнює нулю (поліном рівнозначності):

де i - номери наборів, на яких функція дорівнює 1.



Слайд 94Lviv, CL. 26.03.2014
Комп'ютерна логіка
Досконалі нормальні форми
Кількість термів дорівнює кількості одиничних (нульових)

значень ФАЛ у її таблиці істиності
У кожному термі присутні усі змінні
Немає однакових термів

Слайд 95Lviv, CL. 26.03.2014
Комп'ютерна логіка
Критерії синтезу схем ФАЛ
Швидкодія (продуктивність)
Апаратні витрати
Споживана потужність
Надійність
Складність
Однорідність структури
Ціна
інші


Слайд 96Lviv, CL. 26.03.2014
Комп'ютерна логіка
Методи визначення ціни реалізації ФАЛ
Грошові одиниці
Негрошові одиниці
Кількість операцій
І,

АБО, НЕ
І, АБО
І (АБО)
Кількість термін
В ДНФ
В КНФ
Кількість літер
В нормальних формах
В анормальних формах
Кількість входів
І, АБО, НЕ
І, АБО
І (АБО)
інші



Слайд 97Lviv, CL. 26.03.2014
Комп'ютерна логіка
Мінімізація ФАЛ
Канонічна задача мінімізації
У базисі Буля
Над нормальними формами
Мета

– зменшення кількості літер
Загальна задача мінімізації
Усі інші методи


Слайд 98Lviv, CL. 26.03.2014
Комп'ютерна логіка
Методи розв’язання канонічної задачі мінімізації
Аналітичні
Квайна-МакКласскі-Петрика
Інші
Табличні
Геометричні
Графо-аналітичні
Карти Карно
Діаграми Вейча
Алгебро-топологічні
інші


Слайд 99Lviv, CL. 26.03.2014
Комп'ютерна логіка
Методи розв’язання загальної задачі мінімізації (аналітичні)
Еврістичний (Метод спроб

і помилок)
Винесення за дужки
Внесення надлишковості і глобального винесення за дужки
Перехід до небулевого базису
Метод функціональної декомпозиції
інші

Слайд 100Lviv, CL. 26.03.2014
Комп'ютерна логіка
Еврістичний


Слайд 101Lviv, CL. 26.03.2014
Комп'ютерна логіка
Винесення за дужки
Внесення надлишковості і глобального винесення за

дужки





Слайд 102Lviv, CL. 26.03.2014
Комп'ютерна логіка
Метод функціональної декомпозиції проста розділова і загальний випадок


Слайд 103Lviv, CL. 26.03.2014
Комп'ютерна логіка
Багаторозрядний суматор


Слайд 104Lviv, CL. 26.03.2014
Комп'ютерна логіка
4-розрядні суматори (у прямому, оберненому і доповняльному кодах)


Слайд 105Lviv, CL. 26.03.2014
Комп'ютерна логіка
Повний однорозрядний суматор


Слайд 106Lviv, CL. 26.03.2014
Комп'ютерна логіка
Функціональна схема повного однорозрядного двійкового суматора


Слайд 107Lviv, CL. 26.03.2014
Комп'ютерна логіка
Багатозначні логіки. Нечітка логіка
Тризначна логіка Лукасевича {0,1/2,1}
(ні, може

бути, так)
Тризначна логіка Поста {0,1,2}
N-значна логіка Лукасевича {0/n-1,1/n-1, …,n-1/n-1}
N-значна логіка Поста {0,1,2, …,n-1}


Слайд 108Lviv, CL. 26.03.2014
Комп'ютерна логіка
Небулеві базиси
Базис Жегалкіна
Мажоритарний базис
Пороговий базис
Штучний інтелект


Слайд 109Lviv, CL. 26.03.2014
Комп'ютерна логіка
БАЗОВІ КОМБІНАЦІЙНІ ВУЗЛИ
дешифратори і демультиплексори;
мультиплексори;
шифратори;
перетворювачі кодів;
постійні запам’ятовуючі пристрої;
програмовані

логічні матриці;
програмовані матриці логіки;
суматори і напівсуматори;
вузли порівняння;
арифметично-логічні пристрої;
вузли зсуву;
помножувачі;
інші.

Слайд 110Lviv, CL. 26.03.2014
Комп'ютерна логіка
Дешифратор “3 у 8”


Слайд 111Lviv, CL. 26.03.2014
Комп'ютерна логіка
Матрична схема дешифратора “3 у 8"


Слайд 112Lviv, CL. 26.03.2014
Комп'ютерна логіка
VHDL-опис дешифратора “3 у 8”
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity

DC is
port ( O : out STD_LOGIC_VECTOR (7 downto 0);
I : in STD_LOGIC_VECTOR (2 downto 0);
CS : in STD_LOGIC);
end entity;
architecture DC_arch of DC is
begin
O(0) <= CS when (I = 0) else '0';
O(1) <= CS when (I = 1) else '0';
O(2) <= CS when (I = 2) else '0';
O(3) <= CS when (I = 3) else '0';
O(4) <= CS when (I = 4) else '0';
O(5) <= CS when (I = 5) else '0';
O(6) <= CS when (I = 6) else '0';
O(7) <= CS when (I = 7) else '0';
end architecture;

Слайд 113Lviv, CL. 26.03.2014
Комп'ютерна логіка
Реалізація ФАЛ на дешифраторах


Слайд 114Lviv, CL. 26.03.2014
Комп'ютерна логіка
Нарощування розрядності дешифраторів


Слайд 115Lviv, CL. 26.03.2014
Комп'ютерна логіка
Демультиплексор DX = Дешифратор DC
Дані
Дані
Керування
Керування


Слайд 116Lviv, CL. 26.03.2014
Комп'ютерна логіка
Класифікація DC та DX


Слайд 117Lviv, CL. 26.03.2014
Комп'ютерна логіка
Мультиплексор 8 в 1


Слайд 118Lviv, CL. 26.03.2014
Комп'ютерна логіка
VHDL-опис
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity mux is
port ( I :

in std_logic_vector (7 downto 0);
S : in std_logic_vector (2 downto 0);
O : out std_logic);
end entity;
architecture mux_arch of mux is
begin
O <= I(CONV_INTEGER(S));
end architecture;

Слайд 119Lviv, CL. 26.03.2014
Комп'ютерна логіка
Реалізація ФАЛ на мультиплексорах


Слайд 120Lviv, CL. 26.03.2014
Комп'ютерна логіка
Нарощування розрядності мультиплексорів


Слайд 121Lviv, CL. 26.03.2014
Комп'ютерна логіка
Класифікація DC, DX, MUX


Слайд 122Lviv, CL. 26.03.2014
Комп'ютерна логіка
Шифратор Coder CD


Слайд 123Lviv, CL. 26.03.2014
Комп'ютерна логіка
Класифікація DC, CD, DX, MUX


Слайд 124Lviv, CL. 26.03.2014
Комп'ютерна логіка
Пріоритетний шифратор


Слайд 125Lviv, CL. 26.03.2014
Комп'ютерна логіка
Перетворювач кодів = DC + CD


Слайд 126Lviv, CL. 26.03.2014
Комп'ютерна логіка
Двійково-десяткові коди


Слайд 127Lviv, CL. 26.03.2014
Комп'ютерна логіка
Перетворювач кодів 8421 у 8421+3


Слайд 128Lviv, CL. 26.03.2014
Комп'ютерна логіка
Матрична схема перетворювача коду 8421 у код 8421+3


Слайд 129Lviv, CL. 26.03.2014
Комп'ютерна логіка
Перетворювач кодів для семигементного індикатора


Слайд 130Lviv, CL. 26.03.2014
Комп'ютерна логіка
Перетворювач кодів – дешифратор для 7-сегментного індикатора


Слайд 131Lviv, CL. 26.03.2014
Комп'ютерна логіка
Постійний запам’ятовуючий пристій


Слайд 132Lviv, CL. 26.03.2014
Комп'ютерна логіка
Реалізація ФАЛ на ПЗП


Слайд 133Lviv, CL. 26.03.2014
Комп'ютерна логіка
Реалізація ФАЛ на ПЗП


Слайд 134Lviv, CL. 26.03.2014
Комп'ютерна логіка
Опис ПЗП на мові VHDL
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity

rom is
port ( CS : in STD_LOGIC;
A : in STD_LOGIC_VECTOR(2 downto 0);
D : out STD_LOGIC_VECTOR(3 downto 0));
end entity;
architecture rom_arch of rom is
begin
process(A, CS)
begin
if (CS = '1') then
case (A) is
when "000" => D <= "0100";
when "001" => D <= "0010";
when "010" => D <= "0111";
when "011" => D <= "0100";
when "100" => D <= "0001";
when "101" => D <= "0011";
when "110" => D <= "0101";
when "111" => D <= "0101";
when others => D <= "0000";
end case;
else
D <= "0000";
end if;
end process;

Слайд 135Lviv, CL. 26.03.2014
Комп'ютерна логіка
Програмовані логічні матриці


Слайд 136Lviv, CL. 26.03.2014
Комп'ютерна логіка
Реалізація ФАЛ на ПЛМ





Слайд 137Lviv, CL. 26.03.2014
Комп'ютерна логіка
Таблиця прошиття ПЛМ


Слайд 138Lviv, CL. 26.03.2014
Комп'ютерна логіка
Програмовані матриці логіки


Слайд 139Lviv, CL. 26.03.2014
Комп'ютерна логіка
Реалізація ФАЛ на ПМЛ



Слайд 140Lviv, CL. 26.03.2014
Комп'ютерна логіка
Таблиця прошиття ПМЛ


Слайд 141Операційний пристрій на основі ПЗП
Lviv, CL. 26.03.2014
Комп'ютерна логіка
S = 2M +

3N

Слайд 142Таблиця прошиття ПЗП
Lviv, CL. 26.03.2014
Комп'ютерна логіка


Слайд 143Матричний (паралельний, комбінаційний) помножувач
Lviv, CL. 26.03.2014
Комп'ютерна логіка


Слайд 144Логічні операції над числами


Слайд 145Зсуви
Lviv, CL. 26.03.2014
Комп'ютерна логіка
Логічний зсув ліворуч
Логічний зсув праворуч
Арифметичний зсув ліворуч
Арифметичний зсув

праворуч

Циклічний зсув ліворуч

Циклічний зсув праворуч


Слайд 146Арифметико-логічний пристрій


Слайд 147Арифметичний вузол


Слайд 148Логічний вузол


Слайд 149Вузол зсувів


Слайд 150Структура комп’ютера


Слайд 151Загальна структурна схема цифрового автомата


Слайд 152Структурна схема автомата Мура


Слайд 153Структурна схема автомата Мілі


Слайд 154Рекомендована послідовність синтезу цифрових автоматів
Синтез абстрактного автомата
Синтез алгоритма роботи автомата.
Вибір структури

автомата (Мура або Мілі).
Фіксація алгоритма у вигляді графа.
Синтез структурного автомата
Вибір елементної бази комбінаційної частини.
Вибір елементної бази пам’яті автомата.
Вибір способу кодування вхідних та вихідних сигналів.
Вибір способу кодування внутришніх станів автомата.
Створення таблиці переходів автомата.
Створення таблиці виходів автомата.
Мінімізація формул для сигналів збудження тригерів автомата. Фіксація результатів у вигляді диз’юнктивної нормальної форми (ДНФ).
Для деяких структурних автоматів (у яких комбінаційна частина реалізується на дешифраторах, мультиплексорах, а також для мікропрограмних автоматів, у яких комбінаційна частина реалізується на ПЗП) даний етап мінімізації непотрібний.
Мінімізація формул для виходів автомата. Фіксація результатів у вигляді диз’юнктивної нормальної форми (ДНФ).
Для деяких структурних автоматів (у яких комбінаційна частина реалізується на дешифраторах, мультиплексорах, а також для мікропрограмних автоматів, у яких комбінаційна частина реалізується на ПЗП) даний етап мінімізації непотрібний.
Синтез пам’яті автомата.
Синтез комбінаційної частини автомата.

Слайд 155RS-тригер


Слайд 156неRнеS-тригер


Слайд 157D-тригер, що спрацьовує по тілу


Слайд 158D-тригер, що спрацьовує по фронту


Слайд 159Функціональна схема D-тригера, що спрацьовує по фронту


Слайд 160Т-тригер


Слайд 161T-тригер з входом дозволу роботи


Слайд 162JK-тригер


Слайд 163Тригери з асинхронними входами (R, S) та входом дозволу СІ (CE)


Слайд 164Лічильник на T-тригерах


Слайд 165Лічильник на D-тригерах


Слайд 166Лічильник на JK-тригерах


Слайд 167Регістр зсуву


Слайд 168Паралельний регістр


Слайд 169Оперативний запам’ятовуючий пристрій (ОЗП)


Слайд 170Кодуванням станів автомата



Кількість тригерів залежить тільки від кількості станів і

способу їх кодування

Слайд 171Синтез автомата Мура


Слайд 172Результат синтезу – схема автомата Мура


Слайд 173Сусіднє кодування станів




Слайд 174Схема автомата


Слайд 175Унітарне кодування станів




Слайд 176Схема автомата


Слайд 177Автомат на Т-тригерах



Слайд 178Схема автомата


Слайд 179Автомат на JK-тригерах


Слайд 180Схема автомата


Слайд 181Синтез автомата Мілі



Слайд 182Схема автомата


Слайд 183Мікропрограмний автомат



Слайд 184Схема автомата


Слайд 185Lviv, CL. 26.03.2014
Комп'ютерна логіка
Lviv, CL. 26.03.2014
Комп'ютерна логіка
Література. References
http://ru.wikibooks.org/wiki/ Кодирование текста
http://ich.tsu.ru/~ptara/course/network/bis-unit5/Unit5.html

Компьютерные сети
http://www.pandia.ru/text/77/132/865.php Типы каналов связи
http://skachate.ru/informatika/22409/index.html?page=2 Представление информации в линиях связи интерфейсов на физическом уровне
http://www.bestreferat.ru/referat-194986.html Криптографічні методи захисту інформації
http://cozap.com.ua/text/12464/index-1.html?page=2 Методи криптографічного захисту інформації
http://www.znanius.com/3851.html Криптографічний захист інформації
http://uk.wikipedia.org/wiki/%D0%9F%D0%BE%D1%82%D0%BE%D0%BA%D0%BE%D0%B2%D0%B8%D0%B9_%D1%88%D0%B8%D1%84%D1%80 Потоковий шифр
http://www.bestreferat.ru/referat-141325.htmlhttp://www.bestreferat.ru/referat-141325.html Основи інформаційної безпеки http://edu.dvgups.ru/METDOC/GDTRAN/NTS/EPS/EPT/METOD/UP/frame/7_1.htm Дешифратор
http://life-prog.ru/ukr/view_arhitektura.php?id=4 Семисегментний індикатор.
http://avrlab.com/node/307 как использовать семисегментный индикатор
http://www.e-ope.ee/_download/euni_repository/file/1714/DT_4_suntees_ru.zip/DT_4_suntees_ru/43___.html Минимизация логических функций



Обратная связь

Если не удалось найти и скачать презентацию, Вы можете заказать его на нашем сайте. Мы постараемся найти нужный Вам материал и отправим по электронной почте. Не стесняйтесь обращаться к нам, если у вас возникли вопросы или пожелания:

Email: Нажмите что бы посмотреть 

Что такое ThePresentation.ru?

Это сайт презентаций, докладов, проектов, шаблонов в формате PowerPoint. Мы помогаем школьникам, студентам, учителям, преподавателям хранить и обмениваться учебными материалами с другими пользователями.


Для правообладателей

Яндекс.Метрика